top of page

JOURNAL (30 Papers)

* : 1st Author

30. [MICRO 2024*] A Low-power AI-based 3D Rendering Processor with Hybrid DNN Computing [paper]

    - Author: Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, and Hoi-Jun Yoo

    - IEEE Micro 2024

29. [MICRO 2024] COOL-NPU: Complementary Online Learning Neural Processing Unit [paper]

    - Author: Sangyeob Kim, Soyeon Kim, Sangjin Kim, Jiwon Choi, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Micro 2024

28. [JSSC 2023] C-DNN: An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous CNN/SNN Core Architecture [paper]

    - Author: Sangyeob Kim, Soyeon Kim, Seongyon Hong, Sangjin Kim, Donghyeon Han, Jiwon Choi, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2023

27. [JSSC 2023] DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell [paper]

    - Author: Sangjin Kim, Zhiyong Li, Soyeon Um, Wooyoung Jo, Sangwoo Ha, Juhyoung Lee, Sangyeob Kim, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2023

26. [JSSC 2023*] MetaVRain: A Mobile Neural 3D Rendering Processor with Bundle-Frame-Familiarity-based NeRF Acceleration and Hybrid DNN Computing [paper]

    - Author: Donghyeon Han, Junha Ryu, Sangyeob Kim, Sangjin Kim, Jongjun Park, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2023

 

25. [MICRO 2023] A Mobile 3D Object Recognition Processor with Deep Learning-based Monocular Depth Estimation [paper]

    - Author: Dongseok Im, Gwangtae Park, Zhiyong Li, Junha Ryu, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Hoi-Jun Yoo

    - IEEE Micro 2023

24. [JSSC 2022] An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache [paper]

    - Author: Zhiyong Li, Sangjin Kim, Dongseok Im, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2022

23. [OJ-SSCS 2022*] Energy-efficient DNN Training Processors on Micro-AI Systems [paper]

    - Author: Donghyeon Han, Sanghoon Kang, Sangyeob Kim, Juhyoung Lee, and Hoi-Jun Yoo

    - IEEE Open Journal of the Solid-State Circuits Society 2022

22. [JSSC 2022] DSPU: An Efficient Deep Learning-Based Dense RGB-D Data Acquisition with Sensor Fusion and 3-D Perception SoC [paper]

    - Author: Dongseok Im, Gwangtae Park, Junha Ryu, Zhiyong Li, Sanghoon Kang, Donghyeon Han, Jinsu Lee, Wonhoon Park, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2022

21. [MICRO 2022*] A Mobile DNN Training Processor with Automatic Bit-precision Search and Fine-grained Sparsity Exploitation [paper]

    - Author: Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee and Hoi-Jun Yoo

    - IEEE Micro 2021

20. [TCAS-II 2022] A 49.5 mW Multi-scale Linear Quantized Online Learning Processor for Real-Time Adaptive Object Detection [paper]

    - Author: Seokchan Song, Soyeon Kim, Gwangtae Park, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems II: Express Briefs 2022

19. [TCAS-II 2022] A 36.2 dB High SNR and PVT/Leakage-robust eDRAM Computing-In-Memory Macro with Segmented BL and Reference Cell Array [paper]

    - Author: Sangwoo Ha, Sangjin Kim, Donghyeon Han, Soyeon Um, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems II: Express Briefs 2022

18. [JSSC 2022] OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor with Dual-mode Weight Compression and Sparse Weight Transposer [paper]

    - Author: Juhyoung Lee, Sangyeob Kim, Sangjin Kim, Wooyoung Jo, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2022

17. [TCAS-I 2022] TSUNAMI: Triple Sparsity-aware Ultra Energy-efficient Neural Network Training Accelerator with Multi-modal Iterative Pruning [paper​]

    - Author: Sangyeob Kim, Juhyoung Lee, Sanghoon Kang, Donghyeon Han, Wooyoung Jo, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems I: Regular Papers 2022

16. [JETCAS 2021] An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence with Software-Hardware Cross-Layer Optimizations [paper]

    - Author: Sanghoon Kang, Gwangtae Park, Sangjin Kim, Soyeon Kim, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2021

15. [JSSC 2021] An Energy-Efficient GAN Accelerator with On-Chip Training for Domain Specific Optimization [paper]

    - Author: Soyeon Kim, Sanghoon Kang, Donghyeon Han, Sangjin Kim, Sangyeob Kim, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2021

14. [JSSC 2021] A Pipelined Point Cloud based Neural Network Processor for 3D Vision with Large-scale Max Pooling Layer Prediction [paper]

    - Author: Dongseok Im, Donghyeon Han, Sanghoon Kang, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2021

13. [JSSC 2021] GANPU: An Energy-Efficient Multi-DNN Training Processor for GANs with Speculative Dual-Sparsity Exploitation [paper]

    - Author: Sanghoon Kang, Donghyeon Han, Juhyoung Lee, Dongseok Im, Sangyeob Kim, Soyeon Kim, Junha Ryu, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2021

12. [JSSC 2021*] HNPU: An Adaptive DNN Training Processor Utilizing Stochastic Dynamic Fixed-point and Active Bit-precision Searching [paper]

    - Author: Donghyeon Han, Dongseok Im, Gwangtae Park, Youngwoo Kim, Seokchan Song, Juhyoung Lee, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2021

11. [TCAS-II 2021] A 64.1mW Accurate Real-time Visual Object Tracking Processor with Spatial Early Stopping on Siamese Network [paper]

    - Author: Soyeon Kim, Sangjin Kim, Sangyeob Kim, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems II: Express Briefs 2021

10. [JSSC 2020*] DF-LNPU: A Pipelined Direct Feedback Alignment-Based Deep Neural Network Learning Processor for Fast Online Learning [paper]

    - Author: Donghyeon Han, Jinsu Lee, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2020

9. [SSCL 2020] A 1.02-μW STT-MRAM-Based DNN ECG Arrhythmia Monitoring SoC With Leakage-Based Delay MAC Unit [paper]

    - Author: Kyoung-Rog Lee, Jihoon Kim, Changhyeon Kim, Donghyeon Han, Juhyoung Lee, Jinsu Lee, Hongsik Jeong, and Hoi-Jun Yoo

    - IEEE Solid-State Circuits Letters 2020

8. [TCAS-I 2020] The Hardware and Algorithm Co-Design for Energy-Efficient DNN Processor on Edge/Mobile Devices [paper​]

    - Author: Jinsu Lee, Sanghoon Kang, Jinmook Lee, Dongjoo Shin, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems I: Regular Papers 2020

7. [TCAS-II 2020] A 1.15 TOPS/W Energy-efficient Capsule Network Accelerator for Real-time 3D Point Cloud Segmentation in Mobile Environment [paper​]

    - Author: Gwangtae Park, Dongseok Im, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems II: Express Briefs 2020

6. [TCAS-I 2020] DT-CNN: An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation [paper​]

    - Author: Dongseok Im, Donghyeon Han, Sungpill Choi, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems I: Regular Papers 2020

5. [TCAS-II 2020] A 0.22–0.89 mW Low-power and Highly-secure Always-on Face Recognition Processor with Adversarial Attack Prevention [paper]

    - Author: Youngwoo Kim, Donghyeon Han, Changhyeon Kim, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems II: Express Briefs 2020

4. [JETCAS 2019] CNNP-v2: An Memory-centric Architecture for Low-power CNN Processor on Domain-Specific Mobile Devices [paper]

    - Author: Sungpill Choi, Kyeongryeol Bong, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Journal on Emerging and Selected Topics in Circuits and Systems 2019

3. [SSCL 2019] An Energy-Efficient Sparse Deep-Neural-Network Learning Accelerator with Fine-grained Mixed Precision of FP8-FP16 [paper]

    - Author: Jinsu Lee, Juhyoung Lee, Donghyeon Han, Jinmook Lee, Gwangtae Park, and Hoi-Jun Yoo

    - IEEE Solid-State Circuits Letters 2019

2. [TCAS-I 2018*] A Low-Power Deep Neural Network Online Learning Processor for Real-Time Object Tracking Application [paper]

    - Author: Donghyeon Han, Jinsu Lee, Jinmook Lee, and Hoi-Jun Yoo

    - IEEE Transactions on Circuits and Systems I: Regular Papers 2018

1. [JSSC 2017] A Low-Power Convolutional Neural Network Face Recognition Processor and a CIS Integrated With Always-on Face Detector [paper]

    - Author: Kyeongryeol Bong, Sungpill Choi, Changhyeon Kim, Donghyeon Han, and Hoi-Jun Yoo

    - IEEE Journal of Solid-State Circuits 2017

bottom of page